> 首页 > 科技 > 互联网 > 精测电子:上海精测 OCD 设备再度通过关键客户 28nm 工艺验证

精测电子:上海精测 OCD 设备再度通过关键客户 28nm 工艺验证

来源:本站 作者:佚名 时间:03-28 手机版

精测电子 7 月 15 日披露投资者关系活动记录表显示,根据目前缺芯的情况和国内半导体建厂的规划,目前对半导体设备仍有强烈需求,还有一个比较长的持续增长周期。近日,公司控股子公司上海精测 OCD 设备再度通过关键客户 28nm 工艺验证,顺利进入量产生产线并全面投入使用,获得多家客户高度认可。

最近半导体行业陷入砍单潮,对于是否会影响公司设备的订单签订,精测电子表示:半导体行业的部分消费类芯片随着市场需求变化有小部分波动,尚未发现对公司所处的半导体检测设备领域产生影响。

精测电子补充到,根据目前缺芯的情况和国内半导体建厂的规划,目前对半导体设备仍有强烈需求,还有一个比较长的持续增长周期;同时,精测电子所处的半导体检测设备领域,特别是前道量测领域,生产线的国产设备供给率较低,精测电子的主力产品已得到诸多一线客户认可,有望持续给客户提供更优质的服务并提供更稳固的国内供应链保障。

目前精测电子已形成在半导体检测前道、后道全领域的布局,公司子公司武汉精鸿主要聚焦自动检测设备(ATE)领域(主要产品是存储芯片测试设备),目前已实现关键核心产品技术转移、国产化研发、制造、核心零部件国产化,老化(Burn-In)产品线在国内一线客户实现批量重复订单,CP(Chip Probe,晶片探测)、FT(Final Test,最终测试,即出厂测试)产品目前已取得相应订单,其中 CP 产品已完成交付,FT 产品即将交付。

中科飞测远不如精测电子

不是。上海精测电子致力于半导体前道量测检测设备的研发及生产,在光学领域自主开发,而中科飞测是针对集成电路微细结构及变化的OCD测量,两者是不同领域的不同公司,公司估值都在50亿上下,是一个水平线上的。

从几个方面判定元器件国产化率

目前, 我国半导体市场供需两层不匹配,国产化率亟需提升 。一方面,终端产品供需不匹配。 2018年中国集成电路市场规模1550亿美元,但国产集成电路规模仅238亿美元,国产化率仅约15%;另一方面,制造端的设备供需不匹配。国内半导体设备市场规模约145亿美元,但国产设备规模仅14亿美元不到,国产化率仅约10%。因此,从产业发展的角度,一方面,国内半导体制造领域仍有较大发展空间;另一方面,制造领域的设备仍有较大的国产提升空间。

我们推荐中信建投的研究报告《半导体设备国产进程加速》,解析半导体国产化现状,政策、资金、产业等推动因素,并讨论半导体设备市场格局与国产化进度。如果想收藏本文的报告(半导体设备),可以在智东西公众号回复关键词“nc404”获取。

一、提升国产化率刻不容缓

1、 我国半导体市场规模和占比不断提升

2010年起,全球半导体行业保持稳步增长,过去十年( 2009-2018年)全球半导体销售额CARG为7.55%,全球GDP CAGR为3.99%,而我国集成电路销售额CARG为25.03%,我国行业整体增速为全球半导体行业增速的3.3倍,而全球半导体行业整体增速是全球GDP增速的2倍左右;

与此同时,在PC、智能手机等领域强大的整机组装制造能力使我国成为全球最大的半导体消费市场,在全球占比达到了33%,比第二名的美洲高出11个百分点,我国半导体市场无论是绝对规模增速还是占比都不断提升。

▲我国半导体规模和占比不断提升

▲2018年全球半导体产业市场规模分布

2、 我国半导体市场供需不匹配

一方面,终端产品供需不匹配。2018年中国集成电路市场规模1550亿美元,但国产集成电路规模仅238亿美元,国产化率仅约15%;

另一方面,制造端的设备供需不匹配。2018年中国半导体设备市场规模达到131.1亿美元,但据中国电子专用设备工业协会统计, 2018 年国产半导体设备销售额预计为 109 亿元,自给率仅约为12%。考虑到以上数据包括集成电路、 LED、面板、光伏等设备,实际上国内集成电路设备的国内自给率仅有 5%左右,在全球市场仅占 1-2%份额。半导体设备进口依赖长期看将严重阻碍中国半导体行业的自主发展,国内需求与国内供给的缺口昭示着巨大的国产化空间。

▲2018年国产半导体集成电路自给率仅15%

▲2018年国产半导体设备自给率仅12%

3、 贸易战对我国半导体核心技术“卡脖子”

美国制裁中兴华为反映创新“短板”,华为事件影响深远,引发全球半导体供应链“地震”,暴露出核心技术被“卡脖子”的风险,催化国内半导体等核心科技领域发展,国产自主可控替代有望加速;

半导体行业产业链中上游为我国薄弱环节,其中上游半导体设备和中游制造对美依存度高,核心领域国产芯片占有率多数为0%;相比之下,中游封测和下游终端市场领域对美依存度小,受到影响相对较小。

▲半导体产业链受贸易战影响分化

4、 后贸易战时期,国内半导体设备厂商的一些变化

设备企业前瞻布局非美国地区零部件采购 。一般来说,半导体设备的零部件分为四大部分。在这四大类中,精密加工件、普遍加工件现在基本没有制约,通用外购件(包括接头、气缸、马达等)占比比较小,因此现阶段供应管理关注的重点是外购大模块, 包括设备专用模块和通用模块(机械手、泵等)。外购大模块数量上占比不高,可能只有10-20%,但价值占比60-80%;

所以我们讲零部件的国产化,主要是讲外购大模块的国产化。预防产业风险和成本控制需要通过对外购大模块进行供应链拓展、批量采购等方式实现。

▲外购大模块受产业影响风险较大

大部分品类现阶段国内基础差,没有成熟技术,没有产品。从进口比例来看,前十大子系统供应商中,美国市场和日本市场占比最高。设备企业正逐渐将采购链条从美国转移至日本、英国等地区。

▲前十大零部件采购需求占比及前十大子系统供应商占比

二、国产化的推动因素

1、 全球半导体行业景气度有望触底回暖

理论上看,全球半导体行业具有技术呈周期性发展、市场呈周期性波动的特点 。1998~2000年,随着手机的普及和互联网兴起,全球半导体产值不断上升,尤其在2000年增长38.3%;随着互联网泡沫的破裂, 2001年全球半导体市场下跌32%;随后Window XP的发布,全球开始新一轮PC换机潮,半导体市场2002~2004年处于高速增长阶段;2005年半导体市场出现了周期性回落, 2008年和2009年受金融危机的影响出现了负增长;

2010年,随着全球经济的好转,全球半导体产值增长34.4%。2011-2012年受欧债危机、美国量化宽松货币政策、日本地震及终端电子产品需求下滑影响,半导体销售增速分别下降为 0.4%和-2.7%;

2013年以来, PC、手机、液晶电视等消费类电子产品需求不断增加,全球半导体产业恢复增长,增速达 4.8%。2014年全球半导体销售市场继续保持增长态势,增速达 9.9%;2015-2016年,全球半导体销售疲软。

2017年,随着AI芯片、 5G芯片、汽车电子、物联网等下游的兴起,全球半导体行业重回景气周期。

2018年下半年,受到存储器价格下降、全球需求疲软和中美贸易战的影响,全球半导体发展动力不足。但展望2019年下半年,受益于消费领域、智能手机需求回暖,全球半导体市场发展趋稳并有望实现增长。

2、 上游半导体设备销售有望随之向好

数据上看, 2019年全球半导体设备销售同比负增长, 2020年将大幅反弹 。2018年,全球半导体设备销售额达645亿美元,同比增速高达14%,创下历史最高;受到多因素影响, 2019年半导体设备厂商短期承压, SEMI预计2019年全球半导体设备销售下降18.4%至529亿美元。

展望2020年,由于存储器投资复苏和在中国大陆新建及扩建工厂, SEMI预计半导体制造设备2020年的全球销售额为588亿美元,比2019年增长12%。其中,包括外资工厂在内的对中国大陆销售将达到145亿美元, 预计中国大陆成为半导体制造设备的最大市场。

3、 我国政策、资金、市场环境三面扶持

对标海外:政策支持、资金帮扶、下游产业支撑是推动行业进步不可或缺的几个方面 。 80年代工业PC时代,日本半导体以存储器(DRAM为主)为切入口,在日本政府和产业界联合推动下,吸收美国技术并整合日本工业高质量品控体系,实现IC产品超高可靠性,顺利实现赶超美国;

90年代消费电子大潮,韩国半导体在韩国政府和财团的共同推动下,积极开拓高性价比IC产品,带动亚洲电子产业链崛起,实现了长达20多年的持续崛起。而此时的台湾则通过创新的产业模式,从IDM转为垂直分工,依靠大量投资建成了世界领先的晶圆代工厂台积电和联电,在技术水平上达到世界顶尖;

▲政策支持、资金帮扶、下游产业支撑是推动行业进步不可或缺的几个方面

政策:产业政策频发,彰显扶持半导体产业决心 。“十二五”期间,政府开始大力支持IC产业发展,先后出台了《国家IC产业发展推进纲要》 和“国家重大科技专项”等政策。其中以2014年发布的纲要最为详细,被视为国家为IC产业度身定制的一份纲要,明确显示了政策扶持半导体产业的决心。

2014年9月,国家IC产业基金正式成立。以直接入股方式,对半导体企业给予财政支持或协助购并国际大厂。

目前我国半导体产业的自给率才只有不到15%, 《中国制造2025》 的目标是2020年自给率达40%,2050年达到50% 。

▲根据规划, 2015-2020年, IC产业产值CAGR达20%以上

资金:截至2018年5月,一期大基金已累计投资70个项目,承诺出资1200亿,实际出资1387亿 。已实施项目覆盖设计、制造、封装测试、设备、材料、生态建设各环节;一期大基金主要投向芯片制造环节,占全部承诺投资额的67%,目前已经支持了中芯国际、上海华虹、长江存储等;在设计领域,大基金主要在CPU、 FPGA等高端芯片领域展开投资,占承诺投资额的17%;在封装测试产业方面,大基金则重点支持长电科技、华天科技、通富微电等项目,占承诺投资额的10%;

相比之下,大基金在装备和材料环节的投资规模和力度要小很多,但仍然在推进光刻、刻蚀、离子注入等核心装备抓住产能扩张时间窗口,扩大应用领域。

▲国家大基金资金主要投向集成电路制造环节

资金:大基金二期募资规模2000亿左右,加强设备领域投资 。

▲二期大基金将加强设备领域投资

资金:大基金撬动地方基金,集成电路产业正迎来密集投资期 。IC产业属于资本开支较重的产业,“大投入,大收益;中投入,没收益,小投入,大亏损” ; 全球看,每年半导体资本开支接近600亿美元,而英特尔、台积电、三星等巨头每年的资本开支均在100 亿美元左右,只凭大基金的支持仍然投入有限; 根据我们的统计,除了规模近1400亿的大基金之外,各集成电路产业聚集的省市亦纷纷成立地方集成电路基金,截至到2019年4月,全国有15个以上的省市成立了规模不等的地方集成电路产业投资基金,总计规模达到了5000亿元左右。通过大基金、地方基金、社会资金以及相关的银行贷款等债券融资,未来10年中国半导体产业新增投资规模有望达到10000亿元水平。

▲中国各省市开始密集投资布局半导体产业

市场:大陆建厂潮为半导体设备行业提供了巨大的市场空间 。根据SEMI发布的全球晶圆厂预测报告预估, 2017 -2020年的四年间,全球预计新建 62 条晶圆加工线,其中中国大陆将新建26座晶圆厂,成为全球新建晶圆厂最积极的地区,整体投资金额预计占全球新建晶圆厂的 42%,为全球之最。

市场:大陆半导体资本开支持续增长,拉动半导体设备发展 。当前大陆成为全球新建晶圆厂最积极的地区,以长江存储/合肥长鑫为代表的的存储器项目和以中芯国际/华力为代表的代工厂正处于加速扩产的阶段,预计带来大量的设备投资需求。

三、半导体设备市场竞争格局与国产化进度

1、IC制造流程复杂,大多数设备被国外厂商垄断

晶圆制造(前道,Front-End) :

▲晶圆制造环节具体设备及主要厂商封装(后道,Back-End )测试 :

▲封装测试环节具体设备及主要厂商

全球集成电路装备市场总体高度垄断 。特点:技术更新周期短带来的极强技术壁垒,市场垄断程度高带来的极大市场壁垒,以及客户间竞争合作带来的极高认可壁垒。因此,集成电路装备市场高度垄断,细分市场一家独大;从分布看,全球前十大集成电路装备公司基本上被美国、日本、欧洲企业占据; 从比例看,全球前十大拿走行业80%的份额;应用材料(美国)、 ASML(荷兰)、 TEL东京电子、泛林(美国)、科磊(美国)位列前五,前五名拿走68%的份额;前30拿走92%的份额,前20拿走87%的份额。

▲全球IC装备市场高度垄断

全球IC制造细分设备市场也高度垄断 。从细分设备来看,每个具体设备基本上大部分份额被前三大企业占据,基本上都是80-90%的份额; 前三大厂商中,也基本都是一家独大,第一占据了40-50%的份额。

▲细分设备市场也高度垄断

我国集成电路装备市场高端占比偏小,且大部分为国外厂商 。2018年中国半导体设备市场规模达到131.1亿美元,但据中国电子专用设备工业协会统计, 2018 年国产半导体设备销售额预计为109亿元;预计2020年中国半导体设备总市场规模将超1000亿。

▲国内厂商规模普遍较小,且大部分在光伏、 LED领域占比较高

边际变化:在诸多工艺环节中,开始出现了一些国产厂商 。分地区看,形成三个产业集群:北京:北方华创、中电科集团、天津华海清科(CMP);上海:上海微电子、上海中微半导体、上海盛美、上海睿励科学仪器;沈阳:沈阳拓荆、沈阳芯源;

▲主流65-28nm客户不定量的采购的12类设备清单

▲国内已有9项应用于14nm的装备开始进入生产线步入验证

75-80%的资本开支使用在设备投资里,设备投资中的70-80%在晶圆制造环节设备里 。光刻设备、刻蚀设备、薄膜设备( ALD/CVD 53%、 PVD 47%)占比最高,分别20-25%、 25%、 20-25%;扩散设备、抛光设备、离子注入设备各占设备投资的5%,量测设备占设备投资的5~10%。

▲晶圆生产线各类设备投资占比

2、 光刻设备:光刻机是生产线上最贵的机台, ASML全球领先

光刻工艺是最复杂的工艺,光刻机是最贵的机台 。主流微电子制造过程中, 光刻是最复杂、昂贵和关键的工艺,占总成本的1/3;目前的28nm工艺则需要20道以上光刻步骤,耗费时间约占整个硅片工艺的40~60%。光刻工艺决定着整个IC工艺的特征尺寸,代表着工艺技术发展水平;

具体流程: 首先要在硅片上涂上一层耐腐蚀的光刻胶,随后让强光通过一块刻有电路图案的镂空掩模板照射在硅片上。被照射到的部分(如源区和漏区)光刻胶会发生变质,而构筑栅区的地方不会被照射到,所以光刻胶会仍旧粘连在上面。接下来就是用腐蚀性液体清洗硅片,变质的光刻胶被除去,露出下面的硅片,而栅区在光刻胶的保护下不会受到影响。

光刻机是生产线上最贵的机台,千万-亿美元/台。主要是贵在成像系统(由15~20个直径为200~300mm的透镜组成)和定位系统(定位精度小于10nm)。一般来说一条产线需要几台光刻机,其折旧速度非常快,大约3~9万人民币/天,所以也称之为印钞机。

ASML占据70-80%市场份额,且领先地位无人撼动 。荷兰ASML占据超过70%的高端光刻机市场,且最新的产品EUV光刻机售价高达1亿美元,依旧供不应求。紧随其后的是Nikon和Canon。 光刻机研发成本巨大, Intel、台积电、三星都主动出资入股ASML支持研发,并有技术人员驻厂;格罗方德、联电及中芯国际等的光刻机主要也是来自ASML;

国内光刻机厂商有上海微电子、中电科集团四十五研究所、合肥芯硕半导体等。在这几家公司中,处于技术领先的是上海微电子,其已量产的光刻机中性能最好的是90nm光刻机。由于技术难度巨大,短期内还是处于相对劣势的地位。

▲1970年起,光刻机价格每4.4年翻一倍

3、 刻蚀设备:机台国产化率已达15%

国产刻蚀机的机台市场份额已约15% 。工艺流程: 所谓刻蚀,狭义理解就是光刻腐蚀,先通过光刻将光刻胶进行曝光处理,然后通过其它方式实现腐蚀处理掉所需除去的部分。刻蚀可分为干法刻蚀和湿法刻蚀。显而易见,它们的区别就在于湿法使用溶剂或溶液来进行刻蚀。

刻蚀设备分类: 在8寸晶圆时代,介质(40%)、多晶硅(50%)及金属刻蚀(10%)是刻蚀设备三大块;进入12寸后,随着铜互连的发展,介质刻蚀份额逐渐加大,目前已近50%;

中微半导体的16nm刻蚀机已实现商业化量产并在客户的产线上运行, 7-10nm刻蚀机设备以达到世界先进水平。截至2018年末,中微半导体累计已有1100多个反应台服务于国内外40余条先进芯片生产线。目前中微产品已经进入第三代10nm、 7nm工艺(台积电), 5纳米等离子体刻蚀机已经台积电验证;除中微外,北方华创在硅刻蚀机方面也有突破。

4、 成膜设备:机台国产化率约10-15%

成膜设备分两大类, 机台市场份额约10-15% 。工艺流程: 在集成电路制备中,很多薄膜材料由淀积工艺形成。主要包括化学气相 (CVD)淀积和物理气相淀积 (PVD)两大类工艺; 一条投资70亿美元的芯片制造生产线,需用约5亿美金采购100多台PECVD设备; 从全球范围看, AMAT在CVD设备和PVD设备领域都保持领先;北方华创、中微公司等企业等小有突破:其中北方微电子的PVD可用于28nm的hard mask工艺,并且可以量产;中微两条线推进CVD,一方面中微应用于LED领域的MOCVD市占率已经全球领先 ,另一方面投资沈阳拓荆,完善产品线布局。

▲AMAT在CVD设备和PVD设备领域都保持领先

▲总体看, PVD是国产化进展较快的一类设备

5、 检测设备

半导体中的检测可分为前道量测和后道测试两大类 。其中前道检测更多偏向于外观性/物理性检测,主要使用光学检测设备、各类inspection设备;后道测试更多偏向于功能性/电性测试,主要使用ATE设备及探针台和分选机;从价值量占比看,前道量测设备也可称为工艺控制检测设备,是晶圆制造设备的一部分,占晶圆制造设备投资占比约10%;后道测试设备独立于晶圆制造设备,占全部半导体设备比例约8%。

▲可以简单把加工过程划分为前道晶圆制造与后道封装测试

▲量测设备和测试设备属于两个不同环节

前道晶圆量测(Wafer Metrology)主要在wafer制造环节。在芯片制造过程中,为了保证晶圆按照预定的设计要求被加工,必须进行大量的检测和量测,包括芯片线宽度的测量、各层厚度的测量、各层表面形貌测量,以及各个层的一些电子性能的测量;用到的设备:缺陷检测设备、晶圆形状测量设备、 掩膜板检测设备、 CD-SEM(微距量测扫描式电子显微镜)、显微镜等。

后道测试主要在封测环节,分为中测和终测 。后道中测(CP, circuit probe),主要在芯片封装前: 主要是测试整个晶圆片(wafer)上每个芯粒(die)的逻辑。简单来说, CP是把坏的Die挑出来并标记出来,后续只封装好的die。这样做可以减少封装和测试的成本,也可以更直接的知道Wafer的良率。用到的设备:测试机(IC Tester / ATE)、探针卡(Probe Card)、探针台(Prober)以及测试机与探针卡之间的接口等。

后道终测(FT, final test),主要在芯片封装后:测试每颗封装好的芯片(chip)的逻辑。简单来说, FT是把坏的封装好的chip挑出来,可以直接检验出封装环节的良率;用到的设备:测试机(IC Tester)、分拣机/分类机(Handler)等。

测试设备三大设备之ATE竞争格局:测试设备包括三大类:测试机、探针台、分选机,其中测试机市场空间占比过半;全球集成电路测试设备市场主要由美国泰瑞达和日本爱德万占据,两者总体合计市占率超过50%。细分来看,在测试机市场中, SOC测试机、存储器测试机的市场占比合计近90%,而爱德万+泰瑞达的市场份额超过80%;目前国内已经装配的测试系统主要偏重在低档数字测试系统、模拟及数模混合测试系统等,领先厂商包括长川科技、华峰测控、上海中艺等。本土厂商在中高档测试能力部分目前仍十分薄弱,尚无法与国外业者相抗衡(包括爱德万Advantest、泰瑞达Teradyne、 Verigy、居诺JUNO半导体等)。但目前国产中、高档测试系统已经研制成功,正进入小批量生产阶段。上市公司中,国产厂商长川科技正全面布局数模混合、模拟、数字信号测试机+探针台;精测电子已布局memory ATE和面板驱动IC ATE,期待后续产品出货。

测试设备三大设备之探针台竞争格局:探针测试台(Prober)是前后道工序之间用于对半导体器件芯片的电参数特性进行测试的关键设备,它可以将电参数特性不符合要求的芯片用打点器(INKER)做一明显标记, 便于在后道工序中及时将其剔除, 这样就有效地提高了半导体器件生产的成品率,大大降低器件的制造成本。在具体测试的时候,晶圆被固定在真空吸力的卡盘上,并与很薄的探针电测器对准,同时探针与芯片的每一个焊盘相接触。 电测器在电源的驱动下测试电路并记录下结果。 测试的数量、顺序和类型由计算机程序控制。

一般来说,探针台的单价在百万级别,远高于分选机。根据统计,探针台的市场份额约占总测试机+探针台+分选机的市场空间的15-20%左右。以东京电子(TEL)为代表的厂商雄霸全球探针测试设备市场,而国内厂商中,长川科技已有探针台产品布局。

智东西认为,国内集成电路设备的国内自给率仅有 5%左右,在全球市场仅占 1-2%份额,而且,产业链中上游核心领域芯片多数占有率基本为0%。半导体设备进口依赖长期看将严重阻碍中国半导体行业的自主发展,国内需求与国内供给的缺口昭示着巨大的国产化空间。集成电路领域对外依赖十分严重,现在,集成电路已经成为我国进口金额最大的产品种类,进出口的贸易逆差逐年扩大,逆差增速还在持续提升。但是,在资金、政策、市场环境三方面利好下,市场格局正在发生深刻的变化,希望在未来的5-10年内,半导体行业被“卡脖子”的局面不复存在。

半导体设备龙头企业北方华创

半导体相关阅读:

《国内晶圆代工龙头中芯国际》

《国内IGBT龙头斯达半导》

《功率IDM龙头华润微》

《射频龙头卓胜微》

《全球 ODM+IDM 龙头闻泰 科技 》

《MOSFET领军企业新洁能》

《CMP耗材龙头鼎龙股份》

《半导体测试系统龙头华峰测控》

《半导体硅片和分立器件龙头立昂微》

《功率器件龙头企业扬杰 科技 》

《模拟芯片龙头圣邦股份》

《国内面板检测设备龙头精测电子,半导体+新能源新赛道打开市场空间》

《国产信号链模拟芯片领军企业思瑞浦》

《半导体与新能源双轮驱动晶瑞股份》

《全球TSV-CIS封测龙头晶方 科技 》

《半导体涂显+清洗设备龙头芯源微》

《国内高纯工艺龙头至纯 科技 ,清洗设备迈入新的发展阶段》

北方华创相关阅读:

《半导体设备龙头北方华创 科技 》

今天我们一起梳理一下北方华创,公司主要从事基础电子产品的研发、生产、销售和技术服务, 主要产品为电子工艺装备和电子元器件 ,是国内主流高端电子工艺装备供应商,也是重要的高精密电子元器件生产基地。

公司电子工艺装备主要包括半导体装备、真空装备和锂电装备 ,广泛应用于集成电路、半导体照明、功率器件、微机电系统、先进封装、新能源光伏、新型显示、真空电子、新材料、锂离子电池等领域。电子元器件主要包括电阻、电容、晶体器件、微波组件、模块电源等,广泛应用于精密仪器仪表、自动控制等高、精、尖特种行业领域。

半导体制造过程分为硅片制造、晶圆加工和封装测试三个步骤。 半导体制造过程需要经过几百道复杂的工艺流程,但可以大致分为硅片制造、晶圆加工和封装测试三大环节。 硅片制造是半导体制造的第一大环节,主要是将天然硅石通过提炼加工得到晶圆加工所需要的硅片,其涉及的主要设备包括单晶炉、切磨抛光设备。晶圆制造是通过数百道复杂工艺将硅片加工成半导体的过程,其涉及的主要设备包括热处理、光刻、刻蚀、离子注入、薄膜沉积、抛光和清洗等设备。 封装和测试是将完成加工的晶圆按照产品型号及功能需求加工得到独立芯片的过程,主要涉及的设备有分选机和测试机等。

半导体设备投资中晶圆加工设备占比达80%。 半导体设备在新建的晶圆厂资本支出中占比为80%,而在半导体设备中晶圆加工设备占比为80%,为最主要的资本支出项目,封装测试设备占比15%,其余设备占比5%。根据前瞻产业研究院的数据,在晶圆加工设备中,刻蚀机投资占比最高达30%,其次是薄膜沉积设备占比25%,光刻机占比23%,其余设备合计占比22%。 在各细分领域中,我国半导体设备企业具备竞争力的设备主要包括刻蚀设备、薄膜沉积设备以及清洗设备

2019年全球刻蚀设备规模约115亿美元,市场集中度高,CR3达91%。 全球刻蚀设备主要由泛林半导体(LAM)、东京电子(TEL)和应用材料(AMAT)垄断,其市场占有率分别为52%、20%和19%。 国内主要的刻蚀设备企业包括中国电科、中微公司、北方华创和屹唐半导体。其中中微公司刻蚀产品以电容耦合刻蚀(CCP)为主,北方华创刻蚀产品以电感耦合刻蚀(ICP)为主

薄膜沉积设备以化学气相沉积(CVD)为主,占比53%,物理气相沉积(PVD)占比25%。 半导体薄膜沉积设备主要可以分为CVD、PVD和包括原子层沉积(ALD)在内的其他沉积设备。根据前瞻产业研究院数据,三者的市场份额占比分别为53%、25%和18%。在CVD市场中。应用材料、泛林半导体和东京电子占据了70%的市场份额;在PVD市场中,应用材料市占率高达85%,处于绝对垄断的地位。 国内薄膜沉积设备龙头有北方华创和沈阳拓荆。其中,北方华创产品线覆盖CVD、PVD和ALD,沈阳拓荆主要覆盖CVD和ALD

半导体清洗设备中,湿法清洗为主,占比90%,干法清洗占比10%。 随着半导体制程推进,对工艺水平要求也越来越高,清洗工艺显得越发重要。按照清洗原理来分,清洗设备可分为干法清洗设备和湿法清洗设备,其中湿法清洗设备市场占比达90%,是主要的清洗设备。清洗设备市场集中度较高,CR4达94%,其中Screen市占率54%,是清洗设备的主要生产商。 国内清洗设备商主要有至纯 科技 、北方华创和盛美半导体,盛美半导体目前主要产品是单片式清洗设备,北方华创和至纯 科技 目前仍主要以槽式清洗为主,三者核心产品存在差异,正面竞争较少

近年来我国半导体设备市场正处于快速增长的阶段,2015年我国半导体设备市场规模为49亿美元,2019年在全球市场下降的情况下,大陆半导体设备市场规模达134.5亿美元,同比增长2.6%,2015-2019年CAGR为28.7%,高于全球平均水平。 随着今年国内晶圆厂资本支出的上升,半导体设备将充分受益 ,根据SEMI的最新预测,2020年中国大陆半导体设备市场规模将达173亿美元,同比增长28.6%。而在2020年国内晶圆厂密集资本支出之后,2021年中国大陆半导体设备市场规模将小幅回落,市场规模为166亿美元,同比下降4%,仍旧为全球最大半导体设备市场。

2019年国产半导体设备销售额为161.82亿元,同比增长30%。其中集成电路设备销售额为71.29亿元,同比增长55.5%。而中国大陆2019年半导体设备市场规模134.5亿美元,国产化率约17%,具备较大国产替代空间

半导体设备是公司最重要的业务,也是未来最重要的发展方向。 北方华创作为国资背景的半导体设备国产化主力军,承担了863计划和国家02专项等多个半导体设备公关研发项目,包括刻蚀设备、PVD和CVD设备的研发和产业化,公司承担项目已部分完成验收实现产业化。

在集成电路刻蚀设备方面,公司主要覆盖ICP刻蚀设备,而中微公司主要覆盖CCP刻蚀设备,两者短期内并不存在直接竞争的关系。 公司ICP刻蚀设备主要用于硅刻蚀和金属材料的刻蚀,28nm制程以上刻蚀设备已经实现产业化,在先进制程方面,公司硅刻蚀设备已经突破14nm技术,进入上海集成电路研发中心,与客户共同开展研发工作。

PVD是公司最具竞争力的半导体设备产品。 磁控溅射技术属于PVD(物理气相沉积)技术的一种,是制备薄膜材料的重要方法之一。北方华创突破了溅射源设计技术、等离子产生与控制技术、颗粒控制技术、腔室设计与仿真模拟技术、软件控制技术等多项关键技术,实现了国产集成电路领域高端薄膜制备设备零的突破,设备覆盖了90-14nm多个制程。根据公司官网消息,公司PVD设备被国内先进集成电路芯片制造企业指定为28nm制程Baseline机台,并成功进入国际供应链体系。

公司氧化扩散设备技术成熟,国内市占率较高。 氧化是将硅片放置于氧气或水汽等氧化剂的氛围中进行高温热处理,退火指集成电路工艺中所有在氮气等不活泼气氛中进行热处理的过程。上述工艺广泛用于半导体集成电路制造,北方华创的立式炉、卧式炉设备达到国内半导体设备的领先水平,成为了主流厂商扩散氧化炉设备的优选,实现了较高的设备国产化率。

公司依靠地理优势,提供优质本地服务,增强了产品竞争力。随着国内晶圆厂资本支出上升,半导体设备国产化步伐加快,公司近年来在长江存储、华虹无锡、华力集成、上海积塔、燕东微电子等多个项目取得订单。公司5/7nm先进制程设备研发项目有序推进,奠定公司长期竞争力

一、半导体设备龙头企业

北方华创成立于2001年,前身可追溯到国家“一五”期间建设的军工重点项目;2010年深交所上市;2015年七星电子和北方微电子战略重组为北方华创;2016年完成重组并引进大基金等战略投资者实现了产业与资本的融合;2017年更名北方华创;2018设立北方华创美国硅谷研究院,开展先进半导体设备技术研发;2019年募资20亿元用于半导体设备研发及产业化项目和高精密电子元器件扩产。

二、业务分析

2015-2020年,营业收入由8.54亿元增长至60.56亿元,复合增长率47.96%,20年同比增长49.23%,2021Q1实现营收同比增长51.76%至14.23亿元;归母净利润由0.39亿元增长至5.37亿元,复合增长率0.73%,20年同比增长73.75%,2021Q1实现归母净利润同比增长175.27%至0.73亿元;扣非归母净利润分别为-0.05亿元、-2.61亿元、-2.08亿元、0.76亿元、0.70亿元、1.97亿元,20年同比增长180.81%,2021Q1实现扣非归母净利润同比增长348.98%至0.32亿元;经营活动现金流分别为-0.44亿元、-2.01亿元、0.32亿元、-0.20亿元、-9.41亿元、13.85亿元,20年同比增长247.12%,2021Q1实现经营活动现金流同比下降8.40%至6.61亿元。

分产品来看,2020年电子工艺装备实现营收同比增长52.58%至48.69亿元,占比80.40%,毛利率减少5.79pp至29.44%;电子元器件实现营收同比增长37.46%至11.65亿元,占比19.24%,毛利率增加6.26pp至66.15%;其他实现营收同比增长12.29%至2192.83万元,占比0.36%。

2020年前五大客户实现营收26.44亿元,占比43.66%,其中第一大客户实现营收11.77亿元,占比19.43%。

三、核心指标

2015-2020年,毛利率由40.62%下降至17年低点36.59%,随后逐年提高至19年40.53%,20年下降至36.69%;期间费用率16年上涨至高点64.11%,随后逐年下降至19.19%,其中销售费用率16年上涨至高点6.70%,随后逐年下降至18年低点5.08%,而后上涨至5.84%,管理费用率16年上涨至高点55.72%,随后逐年下降至19年低点13.75%,20年上涨至14.06%,财务费用率由1.96%下降至17年低点1.20%,随后逐年上涨至19年高点2.44%,20年下降至-0.71%;利润率由8.79%下降至17年低点7.53%,随后逐年提高至14.06%,加权ROE由2.09%提高至8.51%。

四、杜邦分析

净资产收益率=利润率*资产周转率*权益乘数

由图和数据可知,15-17年净资产收益率的提高是由于资产周转率和权益乘数的提高,18年净资产收益率的提高是由于利润率、资产周转率和权益乘数共振提高所致,19年 净资产收益率的下降是由于权益乘数的下降,20年净资产收益率的提高是由于利润率和资产周转率的提高。

五、研发支出

20年公司研发投入同比增长41.39%至16.08亿元,占比26.56%,资本化10.52亿元,资本化率65.38%;截止2020年末公司研发人员1415人,占比23.67%。

六、估值指标

PE-TTM 150.18,位于近3年50分位值附近。

根据机构一致性预测,北方华创2023年业绩增速在33.45%左右,EPS为2.84元,18-23年5年复合增长率43.33%。目前股价176.46元,对应2023年估值是PE 61.97倍左右,PEG 1.85左右。

看点:

公司作为国内半导体设备龙头企业,深度布局半导体装备、真空装备、新能源锂电装备和精密元器件,产品体系具有较强竞争力,同时在技术研发、客户卡位等方面优势明显,叠加晶圆厂扩产潮再度开启,国产替代进程提速可期,公司核心竞争优势凸显,有望引领半导体设备国产替代大潮崛起。

相关推荐:

win7电脑无法查看工作组计算机怎么办?

精测电子:上海精测 OCD 设备再度通过关键客户 28nm 工艺验证

win7重装系统驱动安装失败怎么办

NPD:《艾尔登法环》成为 2022 年上半年美国最畅销的游戏

win7无线网络设置怎么初始化?win7无线网络设置初始化步骤分享

FCC 提议:将美国宽带最低网速标准提高到 100Mbps

上海地铁“Metro 大都会”新增数字人民币支付功能

分享重装win7系统后无法连接网络的处理办法

标签: [db:标签]

声明:《精测电子:上海精测 OCD 设备再度通过关键客户 28nm 工艺验证》一文由排行榜大全(佚名 )网友供稿,版权归原作者本人所有,转载请注明出处。如果您对文章有异议,可在反馈入口提交处理!

最近更新

  • Win8更新驱动后还原的技巧 2017-10

    有许多Win8用户喜欢更新驱动,但是更新后又想要把驱动还原到之前的状态时但是却不知道怎么操作,下面小编就教大家在更新驱动后的还原技巧。1、...

    数码 日期:2023-03-28

  • win7电脑无法查看工作组计算机怎么办?

    win7电脑无法查看工作组计算机怎么办?这个问题是很多小伙伴在使用网络邻居遇到的,这个提示的出现就会导致功能无法正常使用,尝试了多种方法也没...

    互联网 日期:2023-03-28

  • 哪版的结构化学教材最好

    段连运主编的《结构化学基础》。《结构化学基础》是北京大学化学学院结构化学基础课教材,共10章,约60万字。主要内容包括量子力学基础知识、原...

    百科 日期:2023-03-28

  • 如何提高播音质量

    1、播音主持人要始终牢记创新的理念,不断开展创新工作,播音主持人是提高播音主持工作的核心力量,只有他们始终牢记自己的定位,才能做好这项创新...

    百科 日期:2023-03-28

  • 精测电子:上海精测 OCD 设备再度通过关键客户 28nm 工艺验证

    精测电子 7 月 15 日披露投资者关系活动记录表显示,根据目前缺芯的情况和国内半导体建厂的规划,目前对半导体设备仍有强烈需求,还有一个比较长...

    互联网 日期:2023-03-28

  • 个人公积金怎么贷款

    1、购房者需持商品房买卖合同或贷款联络单到住房公积金管理中心领取贷款申请表,并根据提示,申请人按要求填写住房公积金贷款申请表。2、申请人...

    百科 日期:2023-03-28

  • win8创建降温模式的操作技巧 如何设置为笔记本开

    win8系统创建降温模式的操作技巧 如何设置为笔记本开启降温模式方法。我们在使用电脑的时候,总是会遇到很多的电脑难题。当我们在遇到了需要...

    数码 日期:2023-03-28

  • win7重装系统驱动安装失败怎么办

    在重装Win7系统后,有些驱动需要手动安装的,但有时Win7系统会出现驱动安装失败的问题,驱动程序还标注黄色感叹号,对应的驱动功能也无法使用了,这种...

    互联网 日期:2023-03-28

邮箱不能为空
留下您的宝贵意见