> 首页 > 科技 > 互联网 > 2024年提前量产 Intel大招“1.8nm”不藏着了:明年公布客户名单

2024年提前量产 Intel大招“1.8nm”不藏着了:明年公布客户名单

来源:本站 作者:佚名 时间:03-30 手机版

Intel日前在IEDM 2022大会上又公布了一系列先进工艺的进展,2030年希望能造出集成1万亿晶体管的芯片,是当前密度的10倍以上,可谓雄心勃勃。

在这个过程中,Intel的先进工艺会不断提升,我们之前多次报道过Intel的计划——那就是4年内掌握5代CPU工艺,分别是Intel 7、Intel 4、Intel 3、Intel 20A及Intel 18A。

这其中,Intel 7就是去年底12代酷睿上首发的工艺,13代酷睿也会继续用,Intel 4工艺首次支持EUV光刻工艺,现在说是准备量产,明年的14代酷睿Meteor Lake首发。

后面的Intel 3工艺是Intel 4的改良版,2023年下半年量产,同时也是Intel对外代工的重点工艺。

不过Intel真正在工艺上再次领先的是20A及18A两代工艺,从20A开始进入埃米级节点,放弃FinFET晶体管,改用GAA晶体管,相当于友商的2nm、1.8nm水平,分别在2024年上半年、下半年量产,其中18A工艺还是提前了半年,之前是预定2025年量产。

18A工艺可以说是Intel未来的一个关键,关系到Intel工艺重新回到领导地位的大业,因为它还要跟台积电、三星在2025年量产的2nm工艺竞争,提前量产更显示出优势。

Intel在9月底的创新大会上提到18A工艺今年底就会有流片,这个进度是非常快的,而且18A工艺不仅是Intel自用,还是重点代工工艺,要对外提供的,因此稳定量产非常重要。

Intel之前一直没有提到18A工艺的具体客户是谁,这也是市场非常关注的,现在Intel CFO终于给出了一个时间点,称他们会在明年初公布18A客户名单,而且看起来不止一家,有一个甚至是跟美国国防部密切相关的公司。

英特尔将为联发科代工16纳米制程芯片

英特尔将为联发科代工16纳米制程芯片

英特尔将为联发科代工16纳米制程芯片,联发科目前每年生产超过 20 亿台设备,但目前还不清楚未来英特尔的代工厂能够产出多少台设备。英特尔将为联发科代工16纳米制程芯片。

英特尔将为联发科代工16纳米制程芯片1

英特尔发布公告称,已与联发科建立战略合作伙伴关系,联发科将使用英特尔代工服务(IFS)为一系列智能边缘设备制造新芯片。继与手机芯片大厂高通达成代工合作意向之后,英特尔又成功拿下了联发科这个重要的客户,这也意味着英特尔的晶圆代工业务获得了突破性进展。而对于台积电来说,这并不是一个好消息。

去年3月,英特尔新任CEO基辛格宣布了IDM 2.0战略,其中关键的一项举措就是重启晶圆代工业务,同时,英特尔还宣布了庞大的产能扩张计划,以及激进的制程工艺路线图。先进制程工艺以及庞大的产能也成为了英特尔拓展代工服务的重要竞争优势。

在产能方面,自去年以来,英特尔陆续宣布投资200亿美元在美国亚利桑那州建造两座先进制程晶圆厂、200亿美元在美国俄亥俄州建造两座先进制程晶圆厂、30亿美元扩建美国俄勒冈州D1X 晶圆厂、未来10年在欧洲投资800亿欧元(包括投资170亿欧元在德国马德堡建两座先进制程晶圆厂;投资约120亿欧元,将爱尔兰莱克斯利普的晶圆厂的制造空间扩大一倍)等。

此外,在今年2月15日,英特尔还宣布以每股53美元的现金收购全球第十大晶圆代工厂——高塔半导体,交易总价值约为54亿美元。英特尔称,此收购大力推进了英特尔的IDM2.0战略,进一步扩大英特尔的制造产能、全球布局及技术组合,以满足前所未有的行业需求。

在先进制程工艺方面,英特尔此前已经宣布了激进的工艺路线图,计划在2022年下半年量产Intel 4工艺,2023年下半年开始量产Intel 3工艺,2024年上半年量产Intel 20A工艺,Intel 18A 工艺将提前半年在2024年下半年量产。

值得注意的是,去年7月,英特尔就已宣布2024年上半年量产的Intel 20A工艺,将与高通达成合作。今年3月,基辛格还对外表示,未来最先进的工艺都会提供晶圆代工服务,其中Intel 3、Intel 18A 制程都已经找到客户,但并未透露具体名单。

据悉,此次联发科与英特尔达成代工服务合作的首个工艺技术节点是“Intel 16”,这是基于英特尔2018年开始出货的22FFL工艺的改进版本。

在Intel 16工艺(相当于台积电16nm)中,英特尔对22FFL技术进一步改造,并增加了对第三方芯片设计工具的支持。双方合作的首批订单将在未来18个月至24个月内出货,但目前还不清楚英特尔获得了多少联发科的订单,以及具体在那座工厂生产。

英特尔表示:“我们无法透露客户产品中的细节,但IFS用户都可以通过俄勒冈州、亚利桑那州、爱尔兰、以色列以及未来将在俄亥俄州和德国建立的工厂组成的全球产能网络生产芯片。”

英特尔代工服务总裁 Randhir Thakur 称:“联发科作为全球领先的芯片设计公司之一,每年为超过 20 亿台设备提供芯片支持。联发科是 英特尔代工服务的绝佳合作伙伴,将帮助英特尔代工服务进入下一个快速增长阶段。同时,英特尔代工服务的先进工艺技术和地域多样化的庞大产能,将帮助联发科在一系列应用中交付下一个十亿连接设备。”

联发科平台技术与制造运营高级副总裁 NS Tsai 表示:“联发科长期以来一直采用多源战略。我们与英特尔在针对笔记本电脑的5G基带芯片上已是合作伙伴关系。现在通过英特尔代工服务,将我们的合作关系进一步扩展到制造智能边缘设备。

凭借其对大规模产能扩张的承诺,英特尔代工服务将为联发科提供价值,因为我们正寻求创建更加多元化的供应链。我们期待与英特尔建立长期合作伙伴关系,以满足全球客户对我们产品快速增长的需求。”

虽然之前英特尔有宣布将与高通在Intel 20A工艺上进行合作,但是这只是预期,双方并未进入实质性的合作。而此次与芯片大厂联发科达成合作,则是英特尔代工业务的一次实质性重大突破。

根据英特尔此前公布的是数据显示,今年一季度英特尔的晶圆代工业务营收年增175%,是旗下主要业务中,成长幅度最惊人的业务,主要来自思科、亚马逊等30多家客户的订单。而此次成功与联发科达成合作,将有助于英特尔晶圆代工业务进一步加速成长。

值得注意的是,在最先进2nm的制程工艺量产时间规划上,台积电和三星的计划的量产时间都是在2025年,英特尔则计划在2024年上半年量产Intel 20A工艺,同时还计划在下半年量产更先进的Intel 18A工艺。

如果一切顺利的话,英特尔将在2024年在先进制程工艺上超越台积电和三星,重新夺回领先地位。而这也有望帮助英特尔进一步从台积电或者三星手中夺得更多的优质客户(例如高通)的订单。

英特尔将为联发科代工16纳米制程芯片2

英特尔和联发科今天宣布建立战略合作伙伴关系,未来联发科将利用英特尔代工服务 (IFS) 的 16 纳米制程(Intel 16)工艺制造芯片,该工艺为 22FFL(一种为低功耗设备优化的传统工艺)节点的改进版。该协议旨在通过利用英特尔的大量产能,让联发科能够建立一个供需更加平衡、有弹性的供应链。

英特尔代工服务总裁 Randhir Thakur 称:“联发科作为世界领先的芯片设计公司之一,将帮助英特尔代工服务进入下一个快速增长阶段。同时,英特尔代工服务的先进工艺和大量产能,将帮助联发科产出更多芯片”。

联发科平台技术与制造运营部企业高级副总裁 NS Tsai 表示:“联发科一直以来都采用多源战略,英特尔代工服务产能的扩展将帮助联发科创建一个更加多元的供应链。我们期待与英特尔建立长期的.合作关系,以满足全球客户快速增长的需求”。

联发科目前每年生产超过 20 亿台设备,但目前还不清楚未来英特尔的代工厂能够产出多少台设备。并且英特尔也没有说明联发科在美国或欧洲的生产比例。

联发科计划生产的智能边缘设备与英特尔 16 纳米工艺非常吻合,该工艺是英特尔 22FFL 节点的改进版,最早在 2018 年就开始出货。此外,该工艺制造的芯片仍然具有很高的性能,足够大多数产品使用。

为了扭转英特尔代工服务多年来的颓势并向联发科提供代工服务,英特尔向英特尔代工服务投入了 200 亿美元的资金。目前英特尔代工服务已经有了不错的发展势头,像是已经签署了高通和亚马逊网络服务(AWS)作为初始客户。

英特尔 CEO 帕特-盖尔辛格(Pat Gelsinger)于 2021 年 3 月推出英特尔晶圆代工业务。该业务旨在重振公司市场地位,并在全球芯片制造领域拥有更大的影响力。但英特尔晶圆代工业务今年第一季度仅带来了 2.83 亿美元(约 19 亿人民币)营收,作为参考,台积电和三星今年第一季度分别为 175 亿美元(约 1176 亿人民币)和 53 亿美元(约 356 亿人民币)的营收。

英特尔将为联发科代工16纳米制程芯片3

英特尔和联发科今天宣布了一项战略合作,刚起步的英特尔代工服务(IFS)将为联发科(2021年第四大芯片设计公司)生产芯片,用于一系列智能边缘设备。

英特尔将在其 "英特尔16 "节点上制造芯片,这是以前称为22FFL(一种为低功耗设备优化的传统工艺)的节点的改进版。在宣布这一消息时,美国的半导体行业,特别是英特尔,正处于从政府获得大量补贴以增加美国的芯片制造的边缘。

联发科目前使用台积电的大部分代工服务,但它也希望通过在美国和欧洲增加产能来实现供应链的多样化。英特尔的IFS在这两个地区都有设施,符合这一要求,英特尔表示,它预计将建立长期的合作关系,可能会跨越多种技术和应用。

英特尔拒绝对联发科产品的出货时间表发表评论,但表示 "英特尔16 "节点将在2022年为其客户提供磁带输出(硅的首次修订),然后在2023年初提供初步的批量提升。

联发科目前每年生产超过20亿台设备,但目前还不清楚其中有多少将很快来自英特尔的代工厂。英特尔也没有说明联发科在美国或欧洲的生产比例,他告诉Toms Hardware:"我们不能评论客户产品的细节。IFS客户可以利用英特尔全球工厂网络的产能走廊,包括俄勒冈州、亚利桑那州、爱尔兰和以色列的现有晶圆制造厂,以及俄亥俄州和德国的新绿地工厂计划。"

全球绝大多数的处理器都是基于旧的传统节点,而不是英特尔即将推出的尖端技术,因为它希望执行其工艺节点路线图,承诺在四年内有五个节点。

联发科计划生产的智能边缘设备与 "英特尔16 "工艺非常吻合,这是该公司成熟的22FFL节点的改进版,于2018年开始出货。22FFL(FinFET低功耗)工艺针对低成本和低功耗的芯片进行了优化,这些芯片仍然具有很高的性能,同时也提供了设计的简单性,以加快产品的上市时间。

对于英特尔16节点,英特尔将22FFL技术进一步现代化,并增加了对第三方芯片设计工具的支持,这与英特尔内部使用的专有设计工具形成鲜明对比。对于IFS来说,如果它计划将芯片设计者吸引到其生产服务中来,支持第三方电子设计自动化(EDA)软件进行芯片设计是向前迈出的关键一步。

"这是IFS建立一个真正的代工业务的机会。Tirias Research的Kevin Krewell告诉Toms Hardware,"在这个过程中可能会有一些成长的痛苦,所以IFS需要一个愿意与它合作的客户。

英特尔决定向英特尔代工服务(IFS)投入最初的200亿美元资金,因为该公司希望扭转多年来的颓势,部分原因是向联发科等芯片设计公司提供制造服务。IFS已经有了发展势头--它已经签署了高通和亚马逊网络服务(AWS)作为初始客户,并赢得了美国国防部的一份合同。它也引起了其他行业巨头的兴趣,如Nvidia。

但仅靠第一波客户并不能建立起一个繁荣的第三方代工厂,因此英特尔一直在大力投资建设其计划。英特尔斥资54亿美元收购了现有的第三方晶圆厂Tower Semiconductor,该公司是大批量跟踪边缘节点生产的专家,拥有庞大的客户组合,并从台积电招募了像Suk Lee这样经验丰富的领导人来扩大其设计技术生态系统。

该公司还在扩大其视野,向RISC-V生态系统投入10亿美元,承诺在需要时制造Arm芯片,并授权其自己的x86 IP为其客户建立其定制设计。

将联发科的合作关系加入到名单中,是英特尔适应代工商业模式的另一项重要成就。联发科目前与台积电合作,生产其大部分的芯片。不过,最初的英特尔合作似乎不太可能抢走台积电的很多业务,而且两家公司在今天的公告中没有披露任何财务信息。

Intel 3、Intel 18A都是啥?英特尔2025制程路线图浅析

英特尔CEO基辛格曾经表示,希望在2025年英特尔能够重返产品领导者的地位,而就在上个月,英特尔在活动上正式透露了2025年目标计划,包括未来5代工艺制程节点线路图,通过彪悍的战略意图超越所有竞争对手,顺带还重新定义命名规则。

如同80486到奔腾,从奔腾到酷睿,每一次英特尔重大改名决策背后,几乎都会带来一段强劲的技术飞跃。这一次,就让我们抽点时间,聊聊英特尔的2025路线图应该怎么理解。

先说结果

如果你想简单了解整件事情,那么下面的表格应该可以帮助你最简单了解英特尔的时间节点。与往常一样,英特尔的技术用于生产和零售之间是有区别的。例如每个工艺节点可能存在数年,新的工艺与是否投入到实际产品中仍然要看市场运营状况,这里你可以理解为AMD再加把劲,让英特尔的牙膏挤猛一点。

回顾今年早些时候基辛格给出的IDM2.0战略,你可以理解在战略中一共3个要素,分别是:

可以看到第一点和第三点英特尔都在着重强调如何贯彻自己的工艺节点开发节奏,基辛格在近期的2021第三季度财报前瞻电话会议中曾表示,目前英特尔每天生产的10nm晶圆已经超过14nm,这标志着英特尔已经实现了向10nm工艺制程的转变。同时在今年6月份,英特尔还表示下一代10nm产品还需要额外的验证时间,以简化2022年在企业级产品上的部署。

(手机横屏观看更佳)

仍然需要注意,虽然英特尔一直在强调10nm工艺制程与对等产品的优越性,但台积电7nm和5nm的设计在事实上已经超过了英特尔量产芯片的晶体管密程度,并在出货量上超越了英特尔,这也是为什么基辛格全力推动英特尔内部全面改革,并获得董事会支持的动力所在。

Pat Gelsinger

因此这一次路线图的公布就变得非常重要了,这将代表着英特尔未来4年的战略节奏,或者调侃一点说是挤牙膏的进度。从整体上来看,英特尔正在积极改进新品提升进度,以及让技术之间更为模块化匹配更为成熟。

在IDM 2.0战略中推动整套技术发展的实操人是去年被任命为英特尔技术与制造总经理安凯乐(Ann B. Kelleher),这个部门在2020年7月份成立,专注纯粹的技术开发,安凯乐本人在英特尔已经担任了26年工程师,先后管理过Fab 24(爱尔兰),Fab 12(美国亚利桑那),Fab 11X(美国新墨西哥州),以及在英特尔总部担任过制造与运营部门总经理。

Ann B. Kelleher

在会议上,安凯乐博士表示,已经在供应商、生态系统学习、组织架构、模块化设计策略、应急计划上做出了重大改变,同时技术团队也将以更精简的方式运行。英特尔将重返技术领先地位目标定义为“每瓦性能指标”表现,也意味着芯片的峰值性能仍然是英特尔发展战略重要计划的一部分。

Fab 11X

接下来,开始我们的长篇大论。

英特尔工艺制程新命名:重新定义有多小

英特尔重新命名工艺制程名称目的是更好的符合现在的行业命名方式,显然在营销手段上,打不过对方耍流氓,最有效的方式就是加入对方,并在其中依靠业界领导能力重塑业界规则,这一点英特尔是相当有魄力的。

其实在大众认知中,英特尔10nm技术等同于台积电7nm已经不再陌生,2D平面转向3D FinFET的时候,数字表达和物理情况之间再无直接关联,在三星带头下沦为营销工具,这样的混乱已经持续了五年之久。

现在我们先把英特尔公布的线路图放出来:

2020年,英特尔10nm SuperFin。 应用于Tiger Lake和Xe-LP独立显卡解决方案SG1和DG1,名称保持不变。

2021年下半年,Intel 7。 应用于Alder Lake和Sapphire Rapids至强可扩展处理器,以前被称为10nm Enhanced Super Fin,相当于10nm制程的晶体管优化产品,每瓦性能相对10nm SuperFin提升10%到15%。其中Alder Lake已经开始批量试产,也就是我们所期待的即将翻盘的12代酷睿。同时在GPU方面,英特尔Xe-HP也划入Intel 7的范畴中。

2022年下半年,Intel 4。 在此之前被称为Intel 7nm,应用于Meteor Lake和下下一代至强可扩展处理器,目前正在实验室测试阶段。英特尔预计每瓦性能能够比上一代提升20%。Intel 4主要会在后端制程(BEOL)中使用更多的极紫外光刻(EUV)。

2023年下半年,Intel 3。 此前称为Intel 7nm+,将增加EUV和高密度库(High Density Libraries)的使用。这里英特尔新模块化战略将会起到作用,例如Intel 3和Intel 4制程将共享一些特性。相对Intel 4,Intel 3每瓦能够提升约18%。

2024年,Intel 20A。 从这里开始就是英特尔制程的转折点,A代表埃米Ångström,10Å等于1nm,在此之前被称为Intel 5nm。由于英特尔在这个时间点将从FinFET转向RibbonFET,即环绕栅极晶体管设计(GAAFET)方向,原来的5nm称呼其实是不准确的。与此同时,英特尔还在这一代工艺上使用PowerVia技术,将供电模块与计算模块尽可能分离,确保信号不受到干扰

2025年,Intel 18A。 无论是技术沟通会议,还是ChinaJoy2021现场英特尔产品总监的分享,分享细节基本到Intel 20A就结束了,但实际上在2025年之后英特尔工艺制程还将迈入Intel 18A。这里将使用ASML最新的EUV光刻机High-NA,能够进行更精确的光刻操作。英特尔表示他们已经成为ASML在High-NA方面的主要合作伙伴,现在已经开始测试第一台High-NA模型。

如果我们把上述的资料进行简略整理,能够看到一个很清晰的思路:

仍然需要注意的是,上面的时间节点只代表工艺节点可能准备就绪的时间,实际产品发布仍然会有变数。例如采用Intel 7工艺的Alder Lake是今年到明年初CES上市,而Sapphire Rapids则可能会到2022年。

为什么要给制程工艺重新命名?

这可能是大多数玩家最关心的一点。无论是英特尔还是对手三星、台积电,用更小的工艺密度名称来展现产品竞争力仍然是主流做法,如果英特尔使用类似台积电、三星奔放的工艺制程命名规则,可能实际操作中市场部仍然需要表达在同等制程称呼下,英特尔的晶体管密度仍然高很多。

因此切换命名赛道可能才是一个最理智的做派,并且也能很好表达在工艺节点没有提升的情况下,实际表现仍然有明显的进步。以Intel 7为例,原来冗长的名称为10nm Enhanced Super Fin,相当于10nm Super Fin的进阶产品,听起来似乎英特尔又在挤牙膏了。

实际上并非如此,比如10nm到10nm Super Fin看似只加长了命名,实际上使用了新的SuperMIM电容器设计,并带来了1GHz以上的频率提升,因此10nm Super Fin到Intel 7之间也注定意味最终性能上的变化。从目前的初步判断来看,每一代工艺的进步,至少可以带来5%到10%的每瓦性能提升,变化很明显。

事实上这套命名思路已经被三星和台积电玩的炉火纯青,例如三星会在8LPP节点设计的基础上,不断的优化,进而衍生出6LPP、5LPE和4LPE,只有到3GAE的时候才会完成全新的技术迭代。同样,台积电10nm、7nm实际上是16nm工艺的优化设计,属于同一个工艺制程节点范围内。但如果看英特尔从Intel 7到Intel 3之间的发展,将会完成2个,以更快的速度完成工艺迭代,也就是英特尔重返巅峰的重要举措之一。

说个题外话,如果当年英特尔将14nm+改名为13nm,14nm++改名12nm,在台积电批量出货5nm产品之前,也许英特尔的处境看起来似乎也没什么太大的问题。

ASML扮演关键角色

在英特尔的报告中,我们会发现ASML无论在任何时间节点都变得非常关键。由于它是目前世界上唯一一家能够给英特尔提供生产机器的公司,英特尔也注定要在ASML上花费大量的资金,以及持续的技术投入。

在这个即将接近“上帝穹顶”的半导体工艺制程领域里,指望一家独大完全是异想天开,早在2021年,英特尔、三星、台积电都对ASML进行了投资,目的就是加速EUV开发,同时将300mm晶圆迁移到4500mm晶圆上。特别是英特尔的21亿美元投资使他们获得了ASML 10%的股份,并且英特尔也表示会持续投资直至增加到25%的占比。

有趣的是,ASML已经在2021年达到了2680亿美元,已经超过了英特尔的市值。

台积电在2020年8月份的一个报告中显示,ASML的EUV光刻机中,有50%用于前沿工艺,而直至现在英特尔还没有任何产品使用EUV制造,直至Intel 4中的后端制程(BEOL)才会加大力度。目前为止,ASML仍然有50台EUV光刻机延迟交付,并计划在2021年生产45到50台EUV光刻机,2022年产量达到50-60台,每台设备标价1.5亿美元,安装时间需要4到6个月。

ASML的缺货也可能给促使英特尔选择在Intel 4发力的原因,但更重要的是,ASML下一代EUV技术,即High-NA EUV将会成为英特尔的主要制造技术之一。NA与EUV光刻机的数值孔径相关,简单的说是在EUV光束击中晶圆之前,可以重新增强光束宽度,击中晶圆的光束越宽,强度就越大,刻画出的电路则越准确。

而如果依靠现在的工艺,一般会使用一维或二维光刻特征的双重图案化,亦或者四重图案化来实现类似的效果,但会严重的降低产量,而High-NA EUV则不会遇到这个问题,显然也更符合英特尔的预期。

如果一切顺利,英特尔可能会在2024年获得第一台High-NA EUV光刻机,并在随后逐步增加,数量越多,对英特尔的产量和优势也将越有利。

翻盘技术点1:RibbonFET

拥有更好的光刻机是远远不够的,芯片设计将会成为英特尔重返巅峰的另一个砝码。这里英特尔着重介绍了RibbonFET和PowerVias。

在目前的普遍认知中,常规FinFET一旦失去增长动力,整个半导体制造行业会转向GAAFET,也就是Intel 20A中提到的环绕栅极晶体管设计(GAAFET)。为了便于大家理解,英特尔将其命名为RibbonFET。

RibbonFET的特点是拥有多层灵活宽度的晶体管以驱动电流。与FinFET依赖于源极/漏极的多个量化鳍片和多个鳍片轨迹的单元高度不同,RibbonFET允许单个鳍片长度可变,并且允许针对每个独立单元进行功率、性能、面积优化,相当于每一个单元的模块都可以再定义电流,变化更为多样性。

资料来自三星

英特尔同样也是GAAFET的推动者之一,在RibbonFET的展示PPT中,可以看到同时使用了PMOS和NMOS器件,看起来像4堆栈结构。而堆栈越多,增加的工艺步骤也就会越繁琐。

不过与对手相比,英特尔的速度确实有些落后。台积电计划在2nm制程上过度到GAAFET,时间节点为2023年之后,三星则计划在3GAP制程上部署更多产品,时间节点同样为2023年。而英特尔的RibbonFET需要2024年上半年才会付诸实践,并且实际产品还需要再往后延期一段时间。

翻盘技术点2:PowerVias

PowerVias是Intel 20A另一个重要设计之一。

现代电路设计是从晶体管层M0开始,向上不断叠加大尺寸额外金属层,以解决晶体管和处理器缓存、计算单元等各个部分之间的布线问题。高性能处理器通常有10到20层金属层,最外层晶体管负责外部通讯。

而在PowerVias中,晶体管被放置于设计中间,晶体管一侧放置通讯线,允许芯片之间各个部分进行通讯,所有电源相关的设计放在另一侧,更确切的说,是晶体管背面,也就是我们常说的背面供电。

从整体来看,电源部分与通讯部分分开可以简化很多不必要的麻烦,比如电源供电导致信号干扰。另一方面按,更近的通讯距离能够降低能量损耗,运行方式更为高效。

当然,背面供电也并非十全十美,它对设计和制造都提出了更高的要求,例如在设计制造晶体管的时候,就必须更早的发现设计和制造缺陷,而不是现在可以供电与晶体管设计交替进行。同时由于供电部分的翻转意味着实际发热的时候,需要考虑热量对信号的影响等等。

不过背面供电技术在行业内其实被提出很多年,ARM和IMEC在2019年联合宣布在3nm工艺的ARM Cortex-A53实现类似的技术,特别是在现在设计下,工艺节点提升开始难以换来对等的高性能,改变设计思路无疑是合理的解决方案。

下一代封装:EMIB和Foveros

除了工艺节点,英特尔还需要推进下一代封装技术。高性能芯片需求再加上困难的工艺节点开发,都使得处理器不再是单一的硅片,而是无数更小的芯片、模块组合在一起,因此就需要更好的封装和桥接技术。英特尔EMIB和Foveros就是其中的两个。

EMIB:嵌入式多芯片互联桥接

桥接技术最早给2D平面芯片桥接设计的。通常而言,两个芯片之间的相互通讯最简单的方法是穿过基板形成数据通路。基板是由绝缘材料层组成的印刷电路,其中散布着蚀刻轨道和金属迹线。根据基板的质量、物理协议和使用标准,可以得出传输数据时达到电力、带宽损耗等等,这是最便宜的选择。

基板的进阶形式是,两个芯片通过一个中介层桥接。中介层通常是一大块硅片,面积足以让两个芯片贴合。类似于插座一般,硅片对应不同芯片会提供相应的接口,并且由于数据从硅片移动到硅片,功率损失要比基板小得多,带宽也更高,缺点是作为中介层的硅片也需要额外制造,制程通常在65nm以上,并且所涉及的芯片要足够小,否则成本降不下来。

英特尔EMIB则正好是中介层硅片以及基板的融合体。英特尔没有使用大型的中介层,而是用小硅片将其嵌入到基板中,从而变成具备插口的桥接器,这使得桥接性能不会受到硅片成本过大,以及基板效率过低的影响。

但EMIB嵌入基板其实并不容易,英特尔已经给为此花费了数年时间和资金完善这项技术,并且桥接过程中必然会存在良品率的问题,即使每个芯片桥接都能达到99%的林频率,一旦多个芯片同时桥接,则会下降到87%。

目前已经投放市场的EMIB技术有几款产品,包括Stratix FPGA 和 Agilex FPGA 系列,以及前段时间在消费端火热的Kaby Lake-G,将英特尔CPU和AMD GPU融合。接下来英特尔还计划在超级计算机图形处理器Ponte Vecchio、下一代至强Sapphire Rapids,2023年消费级处理器Meteor Lake,以及GPU相关芯片使用这项技术。

在EMIB线路图上,英特尔计划在未来几年内继续缩小EMIB的触点间距,以获得更多的连接性能。2017年发布的第一代EMIB触点间距为55微米,第二代EMIB将达到45微米,第三代EMIB则可能达到35微米。

Foveros:真正的叠叠乐

在2019年,英特尔在Lakefield上第一次使用了Foveros芯片到芯片的堆叠技术,虽然Lakefield这款低功耗移动处理器已经停售,但是芯片到芯片堆叠技术开始陆续在其他产品中推广开来。在很大程度上,芯片堆叠与EMIB部分中介层技术相似,所不同的是顶部的内插器、基片需要上一层芯片的完整有源供电。例如Lakefield处理器部分使用的是10nm制程,但诸如PCIe通道、USB接口、安全性以及IO相关则通过22FFL低功耗制程连接。

虽然这仍然属于EMIB技术的2D缩放范畴,但实际上这个操作已经完成了完整的3D堆叠,并且功率损失更小,连接性更好,第一代Foveros触点间距为50微米,而第二代Foveros则可以做到36微米触点间距,连接密度增加一倍,最快会在消费级处理器Meteor Lake用上。

如果你听说过英特尔封装技术,缩写ODI,即Omni-Directional Interconnect可能听说过,这是一个允许使用悬臂硅的封装技术名称,在Foveros上变成了第三代Foveros Omni。

Foveros Omni使得原本第一代Foveros的顶部芯片尺寸限制被取消,可以允许每层多个尺寸芯片叠加。因为Foveros Omni允许铜柱通过基板一直延伸到供电部分,因此解决了大功率硅通孔(TSV)在信号中造成局部干扰的窘境。此时Foveros Omni触点间距降低到25微米。如果一切顺利,Foveros Omni将会在2023年为批量生产做好准备。

紧接着第四代Foveros Direct能够将触点间距降到的10微米,密度是Foveros Omni的六倍,并且使用全铜连接,拥有更低的功耗和电阻,推出的时间也在2023年,与Foveros Omni同步,以应对不同成本和情况的解决方案。

写在最后:性能突破终有时

英特尔给我们描绘了一个2025年的芯片制造的宏伟蓝图,而推动庞大计划背后可能会有数百家供应商与客户的谈判,而为了推进这项计划,英特尔也不惜重金聘请以往在英特尔就职的专家和研究人员,进而推进当前的研究进度。

如果想从每瓦功率上有所突破,唯有不断的将工艺、封装、设计向前推进,同时考虑到客户和市场的实际需求,做到多方面平衡相当不容易,但至少,我们看到了英特尔对重返巅峰充满决心。

相关推荐:

史上最强新品 特斯拉比亚迪等怕吗?苹果汽车曝光:70万元没全自动驾驶、2026年见

2024年提前量产 Intel大招“1.8nm”不藏着了:明年公布客户名单

Win7旗舰版注销时出现黑屏怎么解决?

安兔兔11月iOS设备好评榜出炉:iPhone 14全系未上榜

Win7系统防火墙设置不了?这个方法可以帮你解决设置问题

Win7系统麦克风没声音要如何设置?

全球首发第二代骁龙8!vivo X90 Pro+开售:12+512GB顶配6999元

怎么使用固态硬盘装win7系统?固态硬盘重装系统教程

标签: [db:标签]

声明:《2024年提前量产 Intel大招“1.8nm”不藏着了:明年公布客户名单》一文由排行榜大全(佚名 )网友供稿,版权归原作者本人所有,转载请注明出处。如果您对文章有异议,可在反馈入口提交处理!

最近更新

  • 史上最强新品 特斯拉比亚迪等怕吗?苹果汽车曝光:70万元没全自动驾驶、2026年见

    作为苹果有史以来最大的新品,其智能汽车的一举一动也是颇受外界的关心,到底会在何时推出,又是多少钱呢?据外媒报道称,苹果原本计划为其未来的电...

    互联网 日期:2023-03-30

  • 萨摩耶这种狗狗就只有白色么

    萨摩耶犬是狐狸犬家族的一员,原是西伯利亚的原住民萨摩耶族培育出的犬种。特征是萨摩耶的笑容,看起来永远在笑的样子喜欢亲近人。除了温和的个...

    百科 日期:2023-03-30

  • 描写人物动态的词语有什么

    描写人物动态的词语有:健步如飞、扭头就跑、定睛一看、侧耳细听、冥思苦想、步履矫健、拔腿就跑、目不转睛、听得入迷、挖空心思、大步流星、...

    百科 日期:2023-03-30

  • 灵武长枣有哪些特点

    1、外形。灵武长枣果皮紫红色,鲜艳靓丽,肉厚、质脆,酸甜适口,其果实呈长椭圆形,最大单果重达40克。2、营养。灵武长枣含可溶性固形物≥25.0%,糖≥2...

    百科 日期:2023-03-30

  • 2024年提前量产 Intel大招“1.8nm”不藏着了:明年公布客户名单

    Intel日前在IEDM 2022大会上又公布了一系列先进工艺的进展,2030年希望能造出集成1万亿晶体管的芯片,是当前密度的10倍以上,可谓雄心勃勃。在这...

    互联网 日期:2023-03-30

  • 萨摩耶怎么样

    萨摩耶犬,别称萨摩耶,原是西伯利亚的原住民萨摩耶族培育出的犬种,一岁前调皮、灵动。它机警、强壮、灵活、美丽、高贵优雅、乖巧可爱,有着非常引...

    百科 日期:2023-03-30

  • Win7旗舰版注销时出现黑屏怎么解决?

    当Win7旗舰版电脑使用时间久了以后,出现黑屏也是比较常见的事情了。可是最近有用户竟然是在电脑注销的时候出现黑屏,这个问题该如何解决呢?为此...

    互联网 日期:2023-03-30

  • 描写人物动态的四字词语

    神采奕奕,眉飞色舞,昂首挺胸,惊慌失措,漫不经心,垂头丧气,没精打采,愁眉苦脸,大惊失色,炯炯有神,眉开眼笑,怒气冲冲,嬉皮笑脸,满面春风,大惑不解,大惊小怪,大...

    百科 日期:2023-03-30

相关文章

邮箱不能为空
留下您的宝贵意见